Разделы
Похожие разделы

Долматов А.Г., Петров А.С. Глобальные временные ограничения

Практикум
  • формат pdf
  • размер 377,88 КБ
  • добавлен 11 августа 2016 г.
Лабораторная работа 4 / Учебное электронное текстовое издание. Подготовлено кафедрой «Радиоэлектронные и телекоммуникационные системы», Научный редактор: проф., канд. техн. наук. Д.В. Астрецов. 2005 г., 20 стр. Методические указания к лабораторной работе для студентов всех форм обучения по дисциплинам «Цифровые устройства и микропроцессоры» для специальности 201600 – «Радиоэлектронные системы» и «Цифровые устройства» для специальности 201200 – «С...

Долматов А.Г., Петров А.С. Интегрированная среда автоматизированного проектирования XILINX ISE

Практикум
  • формат pdf
  • размер 562,74 КБ
  • добавлен 12 сентября 2016 г.
Лабораторная работа 1 / Учебное электронное текстовое издание. Подготовлено кафедрой «Радиоэлектронные и телекоммуникационные системы», Научный редактор: проф., канд. техн. наук. Д.В. Астрецов. 2005 г., 30 стр. Методические указания к лабораторной работе для студентов всех форм обучения по дисциплинам «Цифровые устройства и микропроцессоры» для специальности 201600 – «Радиоэлектронные системы» и «Цифровые устройства» для специальности 201200 – «С...

Долматов А.Г., Петров А.С. Схемотехнический редактор XILINX ECS

Практикум
  • формат pdf
  • размер 389,84 КБ
  • добавлен 22 августа 2016 г.
Лабораторная работа 2 / Учебное электронное текстовое издание. Подготовлено кафедрой «Радиоэлектронные и телекоммуникационные системы», Научный редактор: проф., канд. техн. наук. Д.В. Астрецов. 2005 г., 24 стр. Методические указания к лабораторной работе для студентов всех форм обучения по дисциплинам «Цифровые устройства и микропроцессоры» для специальности 201600 – «Радиоэлектронные системы» и «Цифровые устройства» для специальности 201200 – «С...

Долматов А.Г., Петров А.С. Технология синтеза XILINX XST

Практикум
  • формат pdf
  • размер 391,50 КБ
  • добавлен 16 сентября 2016 г.
Лабораторная работа 3 / Учебное электронное текстовое издание. Подготовлено кафедрой «Радиоэлектронные и телекоммуникационные системы», Научный редактор: проф., канд. техн. наук. Д.В. Астрецов. 2005 г., 23 стр. Методические указания к лабораторной работе для студентов всех форм обучения по дисциплинам «Цифровые устройства и микропроцессоры» для специальности 201600 – «Радиоэлектронные системы» и «Цифровые устройства» для специальности 201200 – «С...

Долматов А.Г., Петров А.С. Утилита контроля HDL-кода HDL BENCHER

Практикум
  • формат pdf
  • размер 403,69 КБ
  • добавлен 20 сентября 2016 г.
Лабораторная работа 6 / Учебное электронное текстовое издание. Подготовлено кафедрой «Радиоэлектронные и телекоммуникационные системы», Научный редактор: проф., канд. техн. наук. Д.В. Астрецов. 2005 г., 20 стр. Методические указания к лабораторной работе для студентов всех форм обучения по дисциплинам «Цифровые устройства и микропроцессоры» для специальности 201600 – «Радиоэлектронные системы» и «Цифровые устройства» для специальности 201200 – «С...

Долматов А.Г., Петров А.С. Утилита разработки конечных автоматов STATECAD

Практикум
  • формат pdf
  • размер 570,05 КБ
  • добавлен 18 сентября 2016 г.
Лабораторная работа 5 / Учебное электронное текстовое издание. Подготовлено кафедрой «Радиоэлектронные и телекоммуникационные системы», Научный редактор: проф., канд. техн. наук. Д.В. Астрецов. 2005 г., 41 стр. Методические указания к лабораторной работе для студентов всех форм обучения по дисциплинам «Цифровые устройства и микропроцессоры» для специальности 201600 – «Радиоэлектронные системы» и «Цифровые устройства» для специальности 201200 – «С...

Кнышев Д.А., Зотов В.Ю., Кузелин М.О. Современные семейства ПЛИС фирмы Xilinx

  • формат pdf
  • размер 3,77 МБ
  • добавлен 17 сентября 2012 г.
Cправочное пособие. — 2004. — 76 с.: ил. Книга знакомит с номенклатурой продукции (микросхемы и средства проектирования), выпускаемой фирмой Xilinx на современном этапе (на начало 2004 г. ). Подробно рассмотрены архитектура, особенности и характеристики программируемых логических интегральных схем (ПЛИС) семейств CPLD и FPGA, рекомендуемых для применения в перспективных разработках. Для каждой серии ПЛИС представлены таблицы значений основных вре...

Кондратенко Ю.П., Сидоренко С.А., Підопригора Д.М. Поведінковий синтез цифрових пристроїв в середовищі Active-HDL

  • формат pdf
  • размер 923,46 КБ
  • добавлен 03 сентября 2010 г.
Навчальний посібник. Під ред. Ю. П. Кондратенка. – Миколаїв, МФ НаУКМА, 2001. – с.136. Навчальний посібник містить опис мови VHDL, теоретичні відомості та методичні вказівки з циклу лабораторних робіт для розробки поведінкових моделей цифрових пристроїв різного призначення. Поведінковий синтез базується на мові опису апаратного забезпечення VHDL з використанням системи автоматизованого проектування Active-HDL корпорації Aldec Inc. Цикл лаборатор...

Максфилд К. Проектирование на ПЛИС. Курс молодого бойца

  • формат pdf
  • размер 16,25 МБ
  • добавлен 1 апреля 2015 г.
М.: Додэка-XXI, 2007. — 408 с.: илл. — (Программируемые системы). Эта книга является не только пособием по проектированию устройств на основе ПЛИС (FPGA), но и содержит поистине энциклопедические сведения. Кроме архитектурных особенностей последних поколений микросхем ПЛИС, здесь рассматриваются различные методы и средства проектирования. Проводится обзор и анализ схемотехнических подходов к проектированию (которые всё ещё находят применение),...

Самир Самхури. Оптимизация параметров FPGA матриц за счет правильного HDL кодирования

  • формат doc
  • размер 33,19 КБ
  • добавлен 21 августа 2016 г.
Выходные данные не установлены, 15 стр. Если бы мы жили в идеальном мире, существующие инструменты синтеза логических схем могли бы толковать и эксплуатировать различные архитектуры программируемых пользователем вентильных матриц (FPGA) и их специальные функции без вмешательства разработчика. В реальном мире всё не так легко. Приложения, от которых требуется высокая производительность и плотность размещения логики, являются очень критичными к исп...

Стешенко В.Б. Плис фирмы Altera: элементная база, система проектирования и языки описания аппаратуры

  • формат djvu
  • размер 18,69 МБ
  • добавлен 1 апреля 2015 г.
М.: Издательский дом "Додэка-XXI", 2002. — 576 с. ISBN 5-94120-033-1 В книге рассмотрены вопросы практического применения ПЛИС фирмы "Altera" при разработке цифровых устройств. Приведены краткие сведения об особенностях архитектуры и временных параметрах устройств. Рассмотрены САПР MAX+PLUS II и Quartus, языки описания аппаратуры AHDL, VHDL, VERILOG HDL. Приводятся примеры описания цифровых устройств на языках высокого уровня, а так же примеры ре...

Чистяков А.Г. Лекции по предмету: Методы проектирования на ПЛИС. Ver 1.0

  • формат pdf
  • размер 550.95 КБ
  • добавлен 10 октября 2011 г.
Лекция 7. Система моделирования цифровых схем – MODELSIM и применение ее для проектирования устройств на базе ПЛИС ALTERA Содержание: Введение. Назначение и основные характеристики пакета ModelSim. Получение и установка свободно распространяемых версий пакета ModelSim. Пользовательский интерфейс пакета ModelSim. Использование ModelSim при проектировании на ПЛИС. Общая технология моделирования в MODELSIM. Создание библиотек. Подключение биб...

Douglas J. Smith VHDL & Verilog Compared & Contrasted - Plus Modeled Example Written in VHDL, Verilog and C

  • формат pdf
  • размер 46,29 КБ
  • добавлен 28 июня 2014 г.
Статья, 6 страниц, 1996 год, США, на английском, сравнение VHDL и Verilog с примерами. Introduction. Background. VHDL/Verilog compared & contrasted. Greatest Common Divisor C model. Problem. Solution. Conclusions.

Hwang E.O. Digital Logic and Microprocessor Design with VHDL

  • формат pdf
  • размер 4,76 МБ
  • добавлен 20 января 2014 г.
Cengage Learning, 2005. - 608 p. Эта книга научит читателей разрабатывать цифровые логические устройства с использованием языка VHDL. Автор делится опытом в создании микропроцессоров специального и общего назначения. Особенностью данного издания является доходчивый язык описания принципов разработки отдельных компонентов и объединения их в узлы управления и обработки данных. В результате читатель будет способен разрабатывать простые микропроцессо...

Model Technology Incorporated. ModelSim SE. Руководство пользователя Version 5.6а

  • формат pdf
  • размер 3,91 МБ
  • добавлен 24 октября 2011 г.
Model Technology Incorporated 10450 SW Nimbus Avenue / Bldg. R-B Portland OR 97223-4347 USA 1 - Introduction( Введение) ModelSim graphic interface (Графический интерфейс ModelSim’s) Standards supported (Поддерживаемые стандарты). Assumptions( Предположения) Sections in this document (Разделы в этом документе) Command reference( Справочник команд) What is an "HDL item"( Что такое - " HDL элемент ") Text conventions( Текстовые соглашения...

Munden R. ASIC and FPGA Verification: A Guide to Component Modeling

  • формат pdf
  • размер 3.14 МБ
  • добавлен 15 июня 2011 г.
Morgan Kaufmann, 2004. - 336 pages. A valuable resource for anyone who needs to simulate digital designs not contained in a single chip. Reviews: Today it is still very difficult to verify board or larger system designs through simulation or any other technique. This important book addresses the largest ingredient needed to make simulation possible-the availability of integrated circuit component models. Addressed inside is how to use VITAL ex...

Sensorless speed control of Induction Motor using VHDL/FPGA

  • формат pdf
  • размер 2,63 МБ
  • добавлен 06 июня 2014 г.
65 страниц, 7 разделов, на английском, год неизвестен, автор неизвестен. Математические принципы и алгоритмы управления 3х фазным электродвигателем, схема контроллера, реализация на VHDL

Xilinx. ПЛИС с архитектурой FPGA семейства Spartan-2 (2, 5В)

  • формат pdf
  • размер 699,03 КБ
  • добавлен 05 сентября 2011 г.
2000 г. 25 стр. Краткое техническое описание. Содержание ОСОБЕННОСТИ АРХИТЕКТУРА SPARTAN-II Быстродействие ОПИСАНИЕ АРХИТЕКТУРЫ Матрица Spartan-II Блок ввода-вывода Ввод сигнала Вывод сигнала Банки ввода-вывода Конфигурируемый логический блок Таблица Преобразования Запоминающие элементы Дополнительная логика Арифметическая логика Буферы с тремя состояниями Блочная память (Block RAM) Программируемая трассировочная матрица Локальны...